systemverilog.vim 1.2 KB

123456789101112131415161718192021222324252627282930313233343536373839404142434445
  1. " Vim filetype plugin file
  2. " Language: SystemVerilog
  3. " Maintainer: kocha <kocha.lsifrontend@gmail.com>
  4. " Last Change: 07-May-2021
  5. if exists("b:did_ftplugin")
  6. finish
  7. endif
  8. " Behaves just like Verilog
  9. runtime! ftplugin/verilog.vim
  10. let s:cpo_save = &cpo
  11. set cpo&vim
  12. " Add SystemVerilog keywords for matchit plugin.
  13. if exists("loaded_matchit")
  14. let b:match_words =
  15. \ '\<begin\>:\<end\>,' .
  16. \ '\<case\>\|\<casex\>\|\<casez\>:\<endcase\>,' .
  17. \ '\<module\>:\<endmodule\>,' .
  18. \ '\<if\>:`\@<!\<else\>,' .
  19. \ '\<function\>:\<endfunction\>,' .
  20. \ '`ifn\?def\>:`elsif\>:`else\>:`endif\>,' .
  21. \ '\<task\>:\<endtask\>,' .
  22. \ '\<specify\>:\<endspecify\>,' .
  23. \ '\<config\>:\<endconfig\>,' .
  24. \ '\<generate\>:\<endgenerate\>,' .
  25. \ '\<fork\>:\<join\>\|\<join_any\>\|\<join_none\>,' .
  26. \ '\<primitive\>:\<endprimitive\>,' .
  27. \ '\<table\>:\<endtable\>,' .
  28. \ '\<checker\>:\<endchecker\>,' .
  29. \ '\<class\>:\<endclass\>,' .
  30. \ '\<clocking\>:\<endclocking\>,' .
  31. \ '\<group\>:\<endgroup\>,' .
  32. \ '\<interface\>:\<endinterface\>,' .
  33. \ '\<package\>:\<endpackage\>,' .
  34. \ '\<program\>:\<endprogram\>,' .
  35. \ '\<property\>:\<endproperty\>,' .
  36. \ '\<sequence\>:\<endsequence\>'
  37. endif
  38. let &cpo = s:cpo_save
  39. unlet s:cpo_save