ex3.xdc 2.2 KB

123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051
  1. set_property PACKAGE_PIN Y9 [get_ports clk]
  2. # define clk associated pin as a clock source with 100 MHz
  3. create_clock -period 10.000 -name clk [get_ports clk]
  4. # User LEDs - Bank 33
  5. set_property PACKAGE_PIN T22 [get_ports {led[0]}]
  6. set_property PACKAGE_PIN T21 [get_ports {led[1]}]
  7. #set_property PACKAGE_PIN U22 [get_ports {led[2]}]; # "LD2"
  8. #set_property PACKAGE_PIN U21 [get_ports {led[3]}]; # "LD3"
  9. #set_property PACKAGE_PIN V22 [get_ports {led[4]}]; # "LD4"
  10. #set_property PACKAGE_PIN W22 [get_ports {led[5]}]; # "LD5"
  11. #set_property PACKAGE_PIN U19 [get_ports {led[6]}]; # "LD6"
  12. #set_property PACKAGE_PIN U14 [get_ports {led[7]}]; # "LD7"
  13. # User Push Buttons - Bank 34
  14. set_property PACKAGE_PIN P16 [get_ports {apply[1]}]; # "BTNC"
  15. #set_property PACKAGE_PIN R16 [get_ports {BTND}]; # "BTND"
  16. set_property PACKAGE_PIN N15 [get_ports {apply[0]}]; # "BTNL"
  17. set_property PACKAGE_PIN R18 [get_ports {apply[2]}]; # "BTNR"
  18. set_property PACKAGE_PIN T18 [get_ports {reset}]; # "BTNU"
  19. # User DIP Switches - Bank 35
  20. set_property PACKAGE_PIN F22 [get_ports {inject[0]}]
  21. set_property PACKAGE_PIN G22 [get_ports {mode[0]}]
  22. set_property PACKAGE_PIN F21 [get_ports {inject[1]}]
  23. set_property PACKAGE_PIN H19 [get_ports {mode[1]}]
  24. set_property PACKAGE_PIN H17 [get_ports {inject[2]}]
  25. set_property PACKAGE_PIN M15 [get_ports {mode[2]}]
  26. set_property IOSTANDARD LVCMOS33 [get_ports -of_objects [get_iobanks 33]]
  27. set_property IOSTANDARD LVCMOS18 [get_ports -of_objects [get_iobanks 34]]
  28. set_property IOSTANDARD LVCMOS18 [get_ports -of_objects [get_iobanks 35]]
  29. set_property IOSTANDARD LVCMOS33 [get_ports -of_objects [get_iobanks 13]]
  30. # sets: dont_touch, exclude_placement, contain_routing
  31. set_property HD.RECONFIGURABLE TRUE [get_cells [list tmr_inst]]
  32. # define a new pblock
  33. create_pblock pblock_counters
  34. # add hierarchical modules to the pblock
  35. add_cells_to_pblock [get_pblocks pblock_counters] [get_cells [list tmr_inst]]
  36. # define the size and components within the pblock
  37. resize_pblock [get_pblocks pblock_counters] -add {SLICE_X106Y99:SLICE_X113Y50}
  38. resize_pblock [get_pblocks pblock_counters] -add {RAMB18_X5Y39:RAMB18_X5Y20}
  39. resize_pblock [get_pblocks pblock_counters] -add {RAMB36_X5Y19:RAMB36_X5Y10}
  40. set_property RESET_AFTER_RECONFIG true [get_pblocks pblock_counters]