fifo_async.xpr 14 KB

123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104105106107108109110111112113114115116117118119120121122123124125126127128129130131132133134135136137138139140141142143144145146147148149150151152153154155156157158159160161162163164165166167168169170171172173174175176177178179180181182183184185186187188189190191192193194195196197198199200201202203204205206207208209210211212213214215216217218219220221222223224225226227228229230231232233234235236237238239240241242243244245246247248249250251252253254255256257258259260261262263264265266267268269270271272273274275276277278279280281282283284
  1. <?xml version="1.0" encoding="UTF-8"?>
  2. <!-- Product Version: Vivado v2021.2 (64-bit) -->
  3. <!-- -->
  4. <!-- Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. -->
  5. <Project Version="7" Minor="56" Path="/home/strlst/uni/afd/ex1/vivado/fifo_async.xpr">
  6. <DefaultLaunch Dir="$PRUNDIR"/>
  7. <Configuration>
  8. <Option Name="Id" Val="d5cab8c6b7e54c648d5b071a4a3c8e34"/>
  9. <Option Name="Part" Val="xc7z020clg484-1"/>
  10. <Option Name="CompiledLibDir" Val="$PCACHEDIR/compile_simlib"/>
  11. <Option Name="CompiledLibDirXSim" Val=""/>
  12. <Option Name="CompiledLibDirModelSim" Val="$PCACHEDIR/compile_simlib/modelsim"/>
  13. <Option Name="CompiledLibDirQuesta" Val="$PCACHEDIR/compile_simlib/questa"/>
  14. <Option Name="CompiledLibDirXcelium" Val="$PCACHEDIR/compile_simlib/xcelium"/>
  15. <Option Name="CompiledLibDirVCS" Val="$PCACHEDIR/compile_simlib/vcs"/>
  16. <Option Name="CompiledLibDirRiviera" Val="$PCACHEDIR/compile_simlib/riviera"/>
  17. <Option Name="CompiledLibDirActivehdl" Val="$PCACHEDIR/compile_simlib/activehdl"/>
  18. <Option Name="SimulatorInstallDirModelSim" Val=""/>
  19. <Option Name="SimulatorInstallDirQuesta" Val=""/>
  20. <Option Name="SimulatorInstallDirXcelium" Val=""/>
  21. <Option Name="SimulatorInstallDirVCS" Val=""/>
  22. <Option Name="SimulatorInstallDirRiviera" Val=""/>
  23. <Option Name="SimulatorInstallDirActiveHdl" Val=""/>
  24. <Option Name="SimulatorGccInstallDirModelSim" Val=""/>
  25. <Option Name="SimulatorGccInstallDirQuesta" Val=""/>
  26. <Option Name="SimulatorGccInstallDirXcelium" Val=""/>
  27. <Option Name="SimulatorGccInstallDirVCS" Val=""/>
  28. <Option Name="SimulatorGccInstallDirRiviera" Val=""/>
  29. <Option Name="SimulatorGccInstallDirActiveHdl" Val=""/>
  30. <Option Name="SimulatorVersionXsim" Val="2021.2"/>
  31. <Option Name="SimulatorVersionModelSim" Val="2020.4"/>
  32. <Option Name="SimulatorVersionQuesta" Val="2020.4"/>
  33. <Option Name="SimulatorVersionXcelium" Val="20.09.006"/>
  34. <Option Name="SimulatorVersionVCS" Val="R-2020.12"/>
  35. <Option Name="SimulatorVersionRiviera" Val="2020.10"/>
  36. <Option Name="SimulatorVersionActiveHdl" Val="12.0"/>
  37. <Option Name="SimulatorGccVersionXsim" Val="6.2.0"/>
  38. <Option Name="SimulatorGccVersionModelSim" Val="5.3.0"/>
  39. <Option Name="SimulatorGccVersionQuesta" Val="5.3.0"/>
  40. <Option Name="SimulatorGccVersionXcelium" Val="6.3"/>
  41. <Option Name="SimulatorGccVersionVCS" Val="6.2.0"/>
  42. <Option Name="SimulatorGccVersionRiviera" Val="6.2.0"/>
  43. <Option Name="SimulatorGccVersionActiveHdl" Val="6.2.0"/>
  44. <Option Name="SimulatorLanguage" Val="Verilog"/>
  45. <Option Name="BoardPart" Val="digilentinc.com:zedboard:part0:1.0"/>
  46. <Option Name="BoardPartRepoPaths" Val="$PPRDIR/../../../../.Xilinx/Vivado/2021.2/xhub/board_store/xilinx_board_store"/>
  47. <Option Name="ActiveSimSet" Val="sim_1"/>
  48. <Option Name="DefaultLib" Val="xil_defaultlib"/>
  49. <Option Name="ProjectType" Val="Default"/>
  50. <Option Name="IPOutputRepo" Val="$PCACHEDIR/ip"/>
  51. <Option Name="IPDefaultOutputPath" Val="$PGENDIR/sources_1"/>
  52. <Option Name="IPCachePermission" Val="read"/>
  53. <Option Name="IPCachePermission" Val="write"/>
  54. <Option Name="EnableCoreContainer" Val="FALSE"/>
  55. <Option Name="CreateRefXciForCoreContainers" Val="FALSE"/>
  56. <Option Name="IPUserFilesDir" Val="$PIPUSERFILESDIR"/>
  57. <Option Name="IPStaticSourceDir" Val="$PIPUSERFILESDIR/ipstatic"/>
  58. <Option Name="EnableBDX" Val="FALSE"/>
  59. <Option Name="DSABoardId" Val="zedboard"/>
  60. <Option Name="WTXSimLaunchSim" Val="0"/>
  61. <Option Name="WTModelSimLaunchSim" Val="0"/>
  62. <Option Name="WTQuestaLaunchSim" Val="0"/>
  63. <Option Name="WTIesLaunchSim" Val="0"/>
  64. <Option Name="WTVcsLaunchSim" Val="0"/>
  65. <Option Name="WTRivieraLaunchSim" Val="0"/>
  66. <Option Name="WTActivehdlLaunchSim" Val="0"/>
  67. <Option Name="WTXSimExportSim" Val="1"/>
  68. <Option Name="WTModelSimExportSim" Val="1"/>
  69. <Option Name="WTQuestaExportSim" Val="1"/>
  70. <Option Name="WTIesExportSim" Val="0"/>
  71. <Option Name="WTVcsExportSim" Val="1"/>
  72. <Option Name="WTRivieraExportSim" Val="1"/>
  73. <Option Name="WTActivehdlExportSim" Val="1"/>
  74. <Option Name="GenerateIPUpgradeLog" Val="TRUE"/>
  75. <Option Name="XSimRadix" Val="hex"/>
  76. <Option Name="XSimTimeUnit" Val="ns"/>
  77. <Option Name="XSimArrayDisplayLimit" Val="1024"/>
  78. <Option Name="XSimTraceLimit" Val="65536"/>
  79. <Option Name="SimTypes" Val="rtl"/>
  80. <Option Name="SimTypes" Val="bfm"/>
  81. <Option Name="SimTypes" Val="tlm"/>
  82. <Option Name="SimTypes" Val="tlm_dpi"/>
  83. <Option Name="MEMEnableMemoryMapGeneration" Val="TRUE"/>
  84. <Option Name="DcpsUptoDate" Val="TRUE"/>
  85. <Option Name="ClassicSocBoot" Val="FALSE"/>
  86. </Configuration>
  87. <FileSets Version="1" Minor="31">
  88. <FileSet Name="sources_1" Type="DesignSrcs" RelSrcDir="$PSRCDIR/sources_1" RelGenDir="$PGENDIR/sources_1">
  89. <Filter Type="Srcs"/>
  90. <File Path="$PPRDIR/../verilog/dp_ram.v">
  91. <FileInfo>
  92. <Attr Name="UsedIn" Val="synthesis"/>
  93. <Attr Name="UsedIn" Val="implementation"/>
  94. <Attr Name="UsedIn" Val="simulation"/>
  95. </FileInfo>
  96. </File>
  97. <File Path="$PPRDIR/../verilog/gen_rd_ptr.v">
  98. <FileInfo>
  99. <Attr Name="UsedIn" Val="synthesis"/>
  100. <Attr Name="UsedIn" Val="implementation"/>
  101. <Attr Name="UsedIn" Val="simulation"/>
  102. </FileInfo>
  103. </File>
  104. <File Path="$PPRDIR/../verilog/gen_wr_ptr.v">
  105. <FileInfo>
  106. <Attr Name="UsedIn" Val="synthesis"/>
  107. <Attr Name="UsedIn" Val="implementation"/>
  108. <Attr Name="UsedIn" Val="simulation"/>
  109. </FileInfo>
  110. </File>
  111. <File Path="$PPRDIR/../verilog/sync.v">
  112. <FileInfo>
  113. <Attr Name="UsedIn" Val="synthesis"/>
  114. <Attr Name="UsedIn" Val="implementation"/>
  115. <Attr Name="UsedIn" Val="simulation"/>
  116. </FileInfo>
  117. </File>
  118. <File Path="$PPRDIR/../verilog/fifo_async.v">
  119. <FileInfo SFType="VHeader">
  120. <Attr Name="UsedIn" Val="synthesis"/>
  121. <Attr Name="UsedIn" Val="simulation"/>
  122. <Attr Name="IsVisible" Val="1"/>
  123. </FileInfo>
  124. </File>
  125. <File Path="$PPRDIR/../verilog/top.v">
  126. <FileInfo>
  127. <Attr Name="UsedIn" Val="synthesis"/>
  128. <Attr Name="UsedIn" Val="implementation"/>
  129. <Attr Name="UsedIn" Val="simulation"/>
  130. </FileInfo>
  131. </File>
  132. <Config>
  133. <Option Name="DesignMode" Val="RTL"/>
  134. <Option Name="TopModule" Val="top"/>
  135. <Option Name="TopAutoSet" Val="TRUE"/>
  136. </Config>
  137. </FileSet>
  138. <FileSet Name="constrs_1" Type="Constrs" RelSrcDir="$PSRCDIR/constrs_1" RelGenDir="$PGENDIR/constrs_1">
  139. <Filter Type="Constrs"/>
  140. <File Path="$PPRDIR/fifo_async.xdc">
  141. <FileInfo>
  142. <Attr Name="UsedIn" Val="synthesis"/>
  143. <Attr Name="UsedIn" Val="implementation"/>
  144. </FileInfo>
  145. </File>
  146. <Config>
  147. <Option Name="ConstrsType" Val="XDC"/>
  148. </Config>
  149. </FileSet>
  150. <FileSet Name="sim_1" Type="SimulationSrcs" RelSrcDir="$PSRCDIR/sim_1" RelGenDir="$PGENDIR/sim_1">
  151. <Filter Type="Srcs"/>
  152. <Config>
  153. <Option Name="DesignMode" Val="RTL"/>
  154. <Option Name="TopModule" Val="top"/>
  155. <Option Name="TopLib" Val="xil_defaultlib"/>
  156. <Option Name="TopAutoSet" Val="TRUE"/>
  157. <Option Name="TransportPathDelay" Val="0"/>
  158. <Option Name="TransportIntDelay" Val="0"/>
  159. <Option Name="SelectedSimModel" Val="rtl"/>
  160. <Option Name="PamDesignTestbench" Val=""/>
  161. <Option Name="PamDutBypassFile" Val="xil_dut_bypass"/>
  162. <Option Name="PamSignalDriverFile" Val="xil_bypass_driver"/>
  163. <Option Name="PamPseudoTop" Val="pseudo_tb"/>
  164. <Option Name="SrcSet" Val="sources_1"/>
  165. </Config>
  166. </FileSet>
  167. <FileSet Name="utils_1" Type="Utils" RelSrcDir="$PSRCDIR/utils_1" RelGenDir="$PGENDIR/utils_1">
  168. <Filter Type="Utils"/>
  169. <File Path="$PSRCDIR/utils_1/imports/synth_1/top.dcp">
  170. <FileInfo>
  171. <Attr Name="UsedIn" Val="synthesis"/>
  172. <Attr Name="UsedIn" Val="implementation"/>
  173. <Attr Name="UsedInSteps" Val="synth_1"/>
  174. <Attr Name="AutoDcp" Val="1"/>
  175. </FileInfo>
  176. </File>
  177. <Config>
  178. <Option Name="TopAutoSet" Val="TRUE"/>
  179. </Config>
  180. </FileSet>
  181. </FileSets>
  182. <Simulators>
  183. <Simulator Name="XSim">
  184. <Option Name="Description" Val="Vivado Simulator"/>
  185. <Option Name="CompiledLib" Val="0"/>
  186. </Simulator>
  187. <Simulator Name="ModelSim">
  188. <Option Name="Description" Val="ModelSim Simulator"/>
  189. </Simulator>
  190. <Simulator Name="Questa">
  191. <Option Name="Description" Val="Questa Advanced Simulator"/>
  192. </Simulator>
  193. <Simulator Name="Xcelium">
  194. <Option Name="Description" Val="Xcelium Parallel Simulator"/>
  195. </Simulator>
  196. <Simulator Name="VCS">
  197. <Option Name="Description" Val="Verilog Compiler Simulator (VCS)"/>
  198. </Simulator>
  199. <Simulator Name="Riviera">
  200. <Option Name="Description" Val="Riviera-PRO Simulator"/>
  201. </Simulator>
  202. </Simulators>
  203. <Runs Version="1" Minor="15">
  204. <Run Id="synth_1" Type="Ft3:Synth" SrcSet="sources_1" Part="xc7z020clg484-1" ConstrsSet="constrs_1" Description="Vivado Synthesis Defaults" AutoIncrementalCheckpoint="true" IncrementalCheckpoint="$PSRCDIR/utils_1/imports/synth_1/top.dcp" WriteIncrSynthDcp="false" State="current" Dir="$PRUNDIR/synth_1" IncludeInArchive="true" IsChild="false" AutoIncrementalDir="$PSRCDIR/utils_1/imports/synth_1">
  205. <Strategy Version="1" Minor="2">
  206. <StratHandle Name="Vivado Synthesis Defaults" Flow="Vivado Synthesis 2021"/>
  207. <Step Id="synth_design">
  208. <Option Id="FsmExtraction">1</Option>
  209. <Option Id="ControlSetOptThreshold">0</Option>
  210. <Option Id="ResourceSharing">1</Option>
  211. <Option Id="IncrementalMode">2</Option>
  212. <Option Id="FlattenHierarchy">0</Option>
  213. <Option Id="ReTiming">1</Option>
  214. <Option Id="CascadeDsp">2</Option>
  215. <Option Id="GatedClockConversion">1</Option>
  216. </Step>
  217. </Strategy>
  218. <GeneratedRun Dir="$PRUNDIR" File="gen_run.xml"/>
  219. <ReportStrategy Name="Vivado Synthesis Default Reports" Flow="Vivado Synthesis 2021" CtrlBit="true">
  220. <ReportConfig DisplayName="Utilization" Name="synth_1_synth_report_utilization_0" Spec="report_utilization" RunStep="synth_design" ReportFile="top_utilization_synth.rpt" Version="1" Minor="0">
  221. <ReportConfigOption Name="dummy_option" Type="string"/>
  222. <ReportConfigOutputOption Name="pb" Type="string" Value="top_utilization_synth.pb"/>
  223. </ReportConfig>
  224. <ReportConfig DisplayName="synthesis_report" Name="synth_1_synth_synthesis_report_0" Spec="" RunStep="synth_design" ReportFile="top.vds">
  225. <ReportConfigOption Name="dummy_option" Type="string"/>
  226. </ReportConfig>
  227. </ReportStrategy>
  228. <Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/>
  229. <RQSFiles/>
  230. </Run>
  231. <Run Id="impl_1" Type="Ft2:EntireDesign" Part="xc7z020clg484-1" ConstrsSet="constrs_1" Description="Default settings for Implementation." AutoIncrementalCheckpoint="false" WriteIncrSynthDcp="false" State="current" Dir="$PRUNDIR/impl_1" SynthRun="synth_1" IncludeInArchive="true" IsChild="false" GenFullBitstream="true" AutoIncrementalDir="$PSRCDIR/utils_1/imports/impl_1">
  232. <Strategy Version="1" Minor="2">
  233. <StratHandle Name="Vivado Implementation Defaults" Flow="Vivado Implementation 2021"/>
  234. <Step Id="init_design"/>
  235. <Step Id="opt_design"/>
  236. <Step Id="power_opt_design"/>
  237. <Step Id="place_design"/>
  238. <Step Id="post_place_power_opt_design"/>
  239. <Step Id="phys_opt_design"/>
  240. <Step Id="route_design"/>
  241. <Step Id="post_route_phys_opt_design"/>
  242. <Step Id="write_bitstream"/>
  243. </Strategy>
  244. <GeneratedRun Dir="$PRUNDIR" File="gen_run.xml"/>
  245. <ReportStrategy Name="Vivado Implementation Default Reports" Flow="Vivado Implementation 2021"/>
  246. <Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/>
  247. <RQSFiles/>
  248. </Run>
  249. </Runs>
  250. <Board>
  251. <Jumpers/>
  252. </Board>
  253. <DashboardSummary Version="1" Minor="0">
  254. <Dashboards>
  255. <Dashboard Name="default_dashboard">
  256. <Gadgets>
  257. <Gadget Name="drc_1" Type="drc" Version="1" Row="2" Column="0">
  258. <GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_route_report_drc_0 "/>
  259. </Gadget>
  260. <Gadget Name="methodology_1" Type="methodology" Version="1" Row="2" Column="1">
  261. <GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_route_report_methodology_0 "/>
  262. </Gadget>
  263. <Gadget Name="power_1" Type="power" Version="1" Row="1" Column="0">
  264. <GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_route_report_power_0 "/>
  265. </Gadget>
  266. <Gadget Name="timing_1" Type="timing" Version="1" Row="0" Column="1">
  267. <GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_route_report_timing_summary_0 "/>
  268. </Gadget>
  269. <Gadget Name="utilization_1" Type="utilization" Version="1" Row="0" Column="0">
  270. <GadgetParam Name="REPORTS" Type="string_list" Value="synth_1#synth_1_synth_report_utilization_0 "/>
  271. <GadgetParam Name="RUN.STEP" Type="string" Value="synth_design"/>
  272. <GadgetParam Name="RUN.TYPE" Type="string" Value="synthesis"/>
  273. </Gadget>
  274. <Gadget Name="utilization_2" Type="utilization" Version="1" Row="1" Column="1">
  275. <GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_place_report_utilization_0 "/>
  276. </Gadget>
  277. </Gadgets>
  278. </Dashboard>
  279. <CurrentDashboard>default_dashboard</CurrentDashboard>
  280. </Dashboards>
  281. </DashboardSummary>
  282. </Project>